3 Star 21 Fork 10

yhp / iverilog_module

加入 Gitee
与超过 1200万 开发者一起发现、参与优秀开源项目,私有仓库也完全免费 :)
免费加入
克隆/下载
win.tcl 339 Bytes
一键复制 编辑 原始数据 按行查看 历史
yhp 提交于 2021-05-28 23:13 . 修正win.tcl
#!/usr/bin/env wish
# tcl tk第一个程序
#编译当前工程
button .comp -text "compile" \
-command {
exec bash run -r
}
button .wave -text "wave" \
-command {
exec bash run
}
button .quit -text "quit" \
-command {
exit
}
pack .comp -padx 60 -pady 5
pack .wave -padx 60 -pady 5
pack .quit -padx 60 -pady 5
Verilog
1
https://gitee.com/yuan_hp/iverilog_module.git
git@gitee.com:yuan_hp/iverilog_module.git
yuan_hp
iverilog_module
iverilog_module
master

搜索帮助