3 Star 21 Fork 10

yhp / iverilog_module

加入 Gitee
与超过 1200万 开发者一起发现、参与优秀开源项目,私有仓库也完全免费 :)
免费加入
克隆/下载
upCloud.sh 246 Bytes
一键复制 编辑 原始数据 按行查看 历史
yuanhongping 提交于 2020-08-05 02:11 . 单脉冲发生器
#!/usr/bin/env bash
#UFUNCTION=自动同步当前项目至gitee仓库
pwd
git status
git add .
if [ $# -gt 0 ];then
git commit -m "$*"
else
dat=$(date +%Y/%m/%d\ %H:%M:%S)
git commit -m "$dat"
fi
git status
git push gitee master
Verilog
1
https://gitee.com/yuan_hp/iverilog_module.git
git@gitee.com:yuan_hp/iverilog_module.git
yuan_hp
iverilog_module
iverilog_module
master

搜索帮助