3 Star 21 Fork 10

yhp / iverilog_module

加入 Gitee
与超过 1200万 开发者一起发现、参与优秀开源项目,私有仓库也完全免费 :)
免费加入
克隆/下载
main 1.09 KB
一键复制 编辑 原始数据 按行查看 历史
yuanhongping 提交于 2020-06-24 23:25 . 2020/06/24 23:25:02
#!/bin/bash
##要被本脚本检测到,需要脚本的后缀和F_TYPE一样,并且包含#type 和 #title
#by yuanhp 2020
F_TYPE=iverilog #要列入统计范畴的自定义文件标志
dir=`dirname $0`
cd $dir
dpath=$(cat db.dat)
dis_echo()
{
echo -e "\033[35m$*\033[0m"
}
function note()
{
echo -e "\033[32m$*\033[0m"
}
clear
dis_echo "---------------$F_TYPE仿真项目menu---------------"
cd module
M_DIR=$(ls -al ./ |awk '/^d/ {print $NF}')
cd ..
idx=0
for i in $M_DIR
do
realdir=$dir/module/$i
README=$realdir/readme.md
#echo "$README"
README=${README//\/\//\/}
#echo "$README"
if [ -f "$README" ];then
nt=`awk -F':' '/title:/{print $2}' $README` #获取项目简短说明
if [ -z $nt ];then #空跳转
continue
fi
idx=$[$idx + 1]
dis_echo $idx.$nt
list[$idx]=$realdir
fi
done
dis_echo "0.exit"
dis_echo
read -p "请输入相应的指令代码:" key
if [ $key -eq 0 ];then
echo "退出"
exit
else
echo "******************* running verilog is ${list[key]} *******************"
./run -d ${list[key]}
echo $dpath > db.dat
echo
exit
fi
Verilog
1
https://gitee.com/yuan_hp/iverilog_module.git
git@gitee.com:yuan_hp/iverilog_module.git
yuan_hp
iverilog_module
iverilog_module
master

搜索帮助