3 Star 21 Fork 10

yhp / iverilog_module

加入 Gitee
与超过 1200万 开发者一起发现、参与优秀开源项目,私有仓库也完全免费 :)
免费加入
克隆/下载
dis.v 692 Bytes
一键复制 编辑 原始数据 按行查看 历史
yuanhongping 提交于 2020-06-07 23:36 . add js
module dis;
integer fd,file_id ,seed, i ;
localparam DELAY = 300;
reg [7:0] din;
reg o;
reg [0:0]j;
initial begin
$display("hello,verilog!");
fd=0;
$display("fd=%0d",fd);
fd = fd + 2;
$display("fd=%0d",fd);
fd = fd * 2;
$display("fd=%0d",fd);
fd = fd % 3;
$display("fd=%0d",fd);
fd = fd / 2;
$display("fd=%0d",fd);
seed=$time;
fd= $random(seed) % 1000;
$display("fd=%0d",fd);
#DELAY
$display("simulation time is %t",$time);
for(i=0;i<10;i++)begin
$display("第%0d次循环!",i);
end
for(i=0;i<100;i=i+1)begin
din = $random;
o = ~ din;
j= ^din;
$display("din=%8b,o=%0d,j=%0d",din,o,j);
end
end
endmodule
Verilog
1
https://gitee.com/yuan_hp/iverilog_module.git
git@gitee.com:yuan_hp/iverilog_module.git
yuan_hp
iverilog_module
iverilog_module
master

搜索帮助