代码拉取完成,页面将自动刷新
module dis;
integer fd,file_id ,seed, i ;
localparam DELAY = 300;
reg [7:0] din;
reg o;
reg [0:0]j;
initial begin
$display("hello,verilog!");
fd=0;
$display("fd=%0d",fd);
fd = fd + 2;
$display("fd=%0d",fd);
fd = fd * 2;
$display("fd=%0d",fd);
fd = fd % 3;
$display("fd=%0d",fd);
fd = fd / 2;
$display("fd=%0d",fd);
seed=$time;
fd= $random(seed) % 1000;
$display("fd=%0d",fd);
#DELAY
$display("simulation time is %t",$time);
for(i=0;i<10;i++)begin
$display("第%0d次循环!",i);
end
for(i=0;i<100;i=i+1)begin
din = $random;
o = ~ din;
j= ^din;
$display("din=%8b,o=%0d,j=%0d",din,o,j);
end
end
endmodule
此处可能存在不合适展示的内容,页面不予展示。您可通过相关编辑功能自查并修改。
如您确认内容无涉及 不当用语 / 纯广告导流 / 暴力 / 低俗色情 / 侵权 / 盗版 / 虚假 / 无价值内容或违法国家有关法律法规的内容,可点击提交进行申诉,我们将尽快为您处理。