3 Star 21 Fork 10

yhp / iverilog_module

加入 Gitee
与超过 1200万 开发者一起发现、参与优秀开源项目,私有仓库也完全免费 :)
免费加入
克隆/下载
db.dat 19 Bytes
yhp 提交于 2021-05-28 23:04 . 脚本修正
module/breath_led/
Verilog
1
https://gitee.com/yuan_hp/iverilog_module.git
git@gitee.com:yuan_hp/iverilog_module.git
yuan_hp
iverilog_module
iverilog_module
master

搜索帮助